##// END OF EJS Templates
pylons: remove pylons as dependency...
pylons: remove pylons as dependency - dependencies: bumped: -setuptools-scm==1.15.6 -celery==4.1.0 -kombu==4.1.0 -pytz==2017.3 -Routes==2.4.1 Removed all pylons components. Refactored the code to use only pyramid parts

File last commit:

r2351:59272121 default
r2351:59272121 default
Show More
python-packages.nix
2060 lines | 74.8 KiB | text/x-nix | NixLexer
/ pkgs / python-packages.nix
release: updated pip2nix output for 4.5.0
r1174 # Generated by pip2nix 0.4.0
release: updated pip2nix output for 4.4.2
r996 # See https://github.com/johbo/pip2nix
project: added all source files and assets
r1 {
Babel = super.buildPythonPackage {
name = "Babel-1.3";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [pytz];
src = fetchurl {
url = "https://pypi.python.org/packages/33/27/e3978243a03a76398c384c83f7ca879bc6e8f1511233a621fcada135606e/Babel-1.3.tar.gz";
md5 = "5264ceb02717843cbc9ffce8e6e06bdb";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
Beaker = super.buildPythonPackage {
dependencies: bumped Beaker to 1.9.0
r1875 name = "Beaker-1.9.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped Beaker to 1.9.0
r1875 propagatedBuildInputs = with self; [funcsigs];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped Beaker to 1.9.0
r1875 url = "https://pypi.python.org/packages/93/b2/12de6937b06e9615dbb3cb3a1c9af17f133f435bdef59f4ad42032b6eb49/Beaker-1.9.0.tar.gz";
md5 = "38b3fcdfa24faf97c6cf66991eb54e9c";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
CProfileV = super.buildPythonPackage {
dependencies: bumped cprofileV to 1.0.7
r1870 name = "CProfileV-1.0.7";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [bottle];
src = fetchurl {
dependencies: bumped cprofileV to 1.0.7
r1870 url = "https://pypi.python.org/packages/df/50/d8c1ada7d537c64b0f76453fa31dedb6af6e27b82fcf0331e5f71a4cf98b/CProfileV-1.0.7.tar.gz";
md5 = "db4c7640438aa3d8887e194c81c7a019";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
dan
forms: add deform for integration settings forms
r518 Chameleon = super.buildPythonPackage {
name = "Chameleon-2.24";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/5a/9e/637379ffa13c5172b5c0e704833ffea6bf51cec7567f93fd6e903d53ed74/Chameleon-2.24.tar.gz";
md5 = "1b01f1f6533a8a11d0d2f2366dec5342";
};
meta = {
nix: added required peppercorn lib
r521 license = [ { fullName = "BSD-like (http://repoze.org/license.html)"; } ];
dan
forms: add deform for integration settings forms
r518 };
};
project: added all source files and assets
r1 FormEncode = super.buildPythonPackage {
name = "FormEncode-1.2.4";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/8e/59/0174271a6f004512e0201188593e6d319db139d14cb7490e488bbb078015/FormEncode-1.2.4.tar.gz";
md5 = "6bc17fb9aed8aea198975e888e2077f4";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.psfl ];
};
project: added all source files and assets
r1 };
Jinja2 = super.buildPythonPackage {
dependencies: bumped jinja to 2.9.6
r2280 name = "Jinja2-2.9.6";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [MarkupSafe];
src = fetchurl {
dependencies: bumped jinja to 2.9.6
r2280 url = "https://pypi.python.org/packages/90/61/f820ff0076a2599dd39406dcb858ecb239438c02ce706c8e91131ab9c7f1/Jinja2-2.9.6.tar.gz";
md5 = "6411537324b4dba0956aaa8109f3c77b";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
Mako = super.buildPythonPackage {
dependencies: bumped Mako to 1.0.7
r2030 name = "Mako-1.0.7";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [MarkupSafe];
src = fetchurl {
dependencies: bumped Mako to 1.0.7
r2030 url = "https://pypi.python.org/packages/eb/f3/67579bb486517c0d49547f9697e36582cd19dafb5df9e687ed8e22de57fa/Mako-1.0.7.tar.gz";
md5 = "5836cc997b1b773ef389bf6629c30e65";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
Markdown = super.buildPythonPackage {
dependencies: bumped markdown to 2.6.9
r2286 name = "Markdown-2.6.9";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped markdown to 2.6.9
r2286 url = "https://pypi.python.org/packages/29/82/dfe242bcfd9eec0e7bf93a80a8f8d8515a95b980c44f5c0b45606397a423/Markdown-2.6.9.tar.gz";
md5 = "56547d362a9abcf30955b8950b08b5e3";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
MarkupSafe = super.buildPythonPackage {
dependencies: bumped markupsafe to 1.0.0
r2287 name = "MarkupSafe-1.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped markupsafe to 1.0.0
r2287 url = "https://pypi.python.org/packages/4d/de/32d741db316d8fdb7680822dd37001ef7a448255de9699ab4bfcbdf4172b/MarkupSafe-1.0.tar.gz";
md5 = "2fcedc9284d50e577b5192e8e3578355";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
MySQL-python = super.buildPythonPackage {
name = "MySQL-python-1.2.5";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/a5/e9/51b544da85a36a68debe7a7091f068d802fc515a3a202652828c73453cad/MySQL-python-1.2.5.zip";
md5 = "654f75b302db6ed8dc5a898c625e030c";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.gpl1 ];
};
project: added all source files and assets
r1 };
Paste = super.buildPythonPackage {
dependencies: bumped paste to version 2.0.3.
r1237 name = "Paste-2.0.3";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [six];
src = fetchurl {
dependencies: bumped paste to version 2.0.3.
r1237 url = "https://pypi.python.org/packages/30/c3/5c2f7c7a02e4f58d4454353fa1c32c94f79fa4e36d07a67c0ac295ea369e/Paste-2.0.3.tar.gz";
md5 = "1231e14eae62fa7ed76e9130b04bc61e";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
PasteDeploy = super.buildPythonPackage {
name = "PasteDeploy-1.5.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/0f/90/8e20cdae206c543ea10793cbf4136eb9a8b3f417e04e40a29d72d9922cbd/PasteDeploy-1.5.2.tar.gz";
md5 = "352b7205c78c8de4987578d19431af3b";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
PasteScript = super.buildPythonPackage {
dependencies: bumped pastescript to 2.0.2
r2350 name = "PasteScript-2.0.2";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped pastescript to 2.0.2
r2350 propagatedBuildInputs = with self; [Paste PasteDeploy six];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped pastescript to 2.0.2
r2350 url = "https://pypi.python.org/packages/e5/f0/78e766c3dcc61a4f3a6f71dd8c95168ae9c7a31722b5663d19c1fdf62cb6/PasteScript-2.0.2.tar.gz";
md5 = "ccb3045445097192ca71a13b746c77b2";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
Pygments = super.buildPythonPackage {
dependencies: bumped pygments to 2.2.0
r1354 name = "Pygments-2.2.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped pygments to 2.2.0
r1354 url = "https://pypi.python.org/packages/71/2a/2e4e77803a8bd6408a2903340ac498cb0a2181811af7c9ec92cb70b0308a/Pygments-2.2.0.tar.gz";
md5 = "13037baca42f16917cbd5ad2fab50844";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
pylons: remove pylons as dependency...
r2351 Routes = super.buildPythonPackage {
name = "Routes-2.4.1";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
pylons: remove pylons as dependency...
r2351 propagatedBuildInputs = with self; [six repoze.lru];
project: added all source files and assets
r1 src = fetchurl {
pylons: remove pylons as dependency...
r2351 url = "https://pypi.python.org/packages/33/38/ea827837e68d9c7dde4cff7ec122a93c319f0effc08ce92a17095576603f/Routes-2.4.1.tar.gz";
md5 = "c058dff6832941dec47e0d0052548ad8";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
pylons: remove pylons as dependency...
r2351 license = [ pkgs.lib.licenses.mit ];
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 };
project: added all source files and assets
r1 };
SQLAlchemy = super.buildPythonPackage {
dependencies: bumped sqlalchemy to 1.1.15
r2288 name = "SQLAlchemy-1.1.15";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped sqlalchemy to 1.1.15
r2288 url = "https://pypi.python.org/packages/c2/f6/11fcc1ce19a7cb81b1c9377f4e27ce3813265611922e355905e57c44d164/SQLAlchemy-1.1.15.tar.gz";
md5 = "077f9bd3339957f53068b5572a152674";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
Tempita = super.buildPythonPackage {
name = "Tempita-0.5.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/56/c8/8ed6eee83dbddf7b0fc64dd5d4454bc05e6ccaafff47991f73f2894d9ff4/Tempita-0.5.2.tar.gz";
md5 = "4c2f17bb9d481821c41b6fbee904cea1";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
URLObject = super.buildPythonPackage {
name = "URLObject-2.4.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/cb/b6/e25e58500f9caef85d664bec71ec67c116897bfebf8622c32cb75d1ca199/URLObject-2.4.0.tar.gz";
md5 = "2ed819738a9f0a3051f31dc9924e3065";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ ];
};
project: added all source files and assets
r1 };
WebError = super.buildPythonPackage {
name = "WebError-0.10.3";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [WebOb Tempita Pygments Paste];
src = fetchurl {
url = "https://pypi.python.org/packages/35/76/e7e5c2ce7e9c7f31b54c1ff295a495886d1279a002557d74dd8957346a79/WebError-0.10.3.tar.gz";
md5 = "84b9990b0baae6fd440b1e60cdd06f9a";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
WebHelpers = super.buildPythonPackage {
name = "WebHelpers-1.3";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [MarkupSafe];
src = fetchurl {
url = "https://pypi.python.org/packages/ee/68/4d07672821d514184357f1552f2dad923324f597e722de3b016ca4f7844f/WebHelpers-1.3.tar.gz";
md5 = "32749ffadfc40fea51075a7def32588b";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
WebHelpers2 = super.buildPythonPackage {
name = "WebHelpers2-2.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [MarkupSafe six];
src = fetchurl {
url = "https://pypi.python.org/packages/ff/30/56342c6ea522439e3662427c8d7b5e5b390dff4ff2dc92d8afcb8ab68b75/WebHelpers2-2.0.tar.gz";
md5 = "0f6b68d70c12ee0aed48c00b24da13d3";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
WebOb = super.buildPythonPackage {
dependencies: bumped pyramid to 1.9 webob to 1.7.3 and webtest to 2.0.27...
r1906 name = "WebOb-1.7.3";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped pyramid to 1.9 webob to 1.7.3 and webtest to 2.0.27...
r1906 url = "https://pypi.python.org/packages/46/87/2f96d8d43b2078fae6e1d33fa86b95c228cebed060f4e3c7576cc44ea83b/WebOb-1.7.3.tar.gz";
md5 = "350028baffc508e3d23c078118e35316";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
WebTest = super.buildPythonPackage {
dependencies: bumped test libraries versions....
r2274 name = "WebTest-2.0.29";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped pyramid to 1.9 webob to 1.7.3 and webtest to 2.0.27...
r1906 propagatedBuildInputs = with self; [six WebOb waitress beautifulsoup4];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped test libraries versions....
r2274 url = "https://pypi.python.org/packages/94/de/8f94738be649997da99c47b104aa3c3984ecec51a1d8153ed09638253d56/WebTest-2.0.29.tar.gz";
md5 = "30b4cf0d340b9a5335fac4389e6f84fc";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
Whoosh = super.buildPythonPackage {
dependencies: bumped Whoosh to veriosn 2.7.4. Fixes #4283
r1231 name = "Whoosh-2.7.4";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped Whoosh to veriosn 2.7.4. Fixes #4283
r1231 url = "https://pypi.python.org/packages/25/2b/6beed2107b148edc1321da0d489afc4617b9ed317ef7b72d4993cad9b684/Whoosh-2.7.4.tar.gz";
md5 = "c2710105f20b3e29936bd2357383c325";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal pkgs.lib.licenses.bsd2 ];
};
project: added all source files and assets
r1 };
alembic = super.buildPythonPackage {
dependencies: bumped alembic to 0.9.6
r2289 name = "alembic-0.9.6";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped alembic to 0.9.2
r1876 propagatedBuildInputs = with self; [SQLAlchemy Mako python-editor python-dateutil];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped alembic to 0.9.6
r2289 url = "https://pypi.python.org/packages/bf/b3/b28ea715824f8455635ece3c12f59d5d205f98cc378858e414e3aa6ebdbc/alembic-0.9.6.tar.gz";
md5 = "fcb096bccc87c8770bd07a04606cb989";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
pylons: remove pylons as dependency...
r2351 amqp = super.buildPythonPackage {
name = "amqp-2.2.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [vine];
src = fetchurl {
url = "https://pypi.python.org/packages/e0/70/9ab9ccd8247fb7d2adb717e9f6a0ed358c9e1ab2c349048b0352f9e80ee2/amqp-2.2.2.tar.gz";
md5 = "0971a3fd2d635ded45c349cfc17106bd";
};
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
project: added all source files and assets
r1 amqplib = super.buildPythonPackage {
name = "amqplib-1.0.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/75/b7/8c2429bf8d92354a0118614f9a4d15e53bc69ebedce534284111de5a0102/amqplib-1.0.2.tgz";
md5 = "5c92f17fbedd99b2b4a836d4352d1e2f";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "LGPL"; } { fullName = "GNU Library or Lesser General Public License (LGPL)"; } ];
};
project: added all source files and assets
r1 };
appenlight-client = super.buildPythonPackage {
dependencies: bumped appenlight client to 0.6.22
r2348 name = "appenlight-client-0.6.22";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped appenlight_client to 0.6.21
r1871 propagatedBuildInputs = with self; [WebOb requests six];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped appenlight client to 0.6.22
r2348 url = "https://pypi.python.org/packages/73/37/0a64460fa9670b17c061adc433bc8be5079cba21e8b3a92d824adccb12bc/appenlight_client-0.6.22.tar.gz";
md5 = "641afc114a9a3b3af4f75b11c70968ee";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
nix: synced auto-generated nix file with EE
r1911 license = [ pkgs.lib.licenses.bsdOriginal ];
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 };
project: added all source files and assets
r1 };
authomatic = super.buildPythonPackage {
name = "authomatic-0.1.0.post1";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/08/1a/8a930461e604c2d5a7a871e1ac59fa82ccf994c32e807230c8d2fb07815a/Authomatic-0.1.0.post1.tar.gz";
md5 = "be3f3ce08747d776aae6d6cc8dcb49a9";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 backports.shutil-get-terminal-size = super.buildPythonPackage {
name = "backports.shutil-get-terminal-size-1.0.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/ec/9c/368086faa9c016efce5da3e0e13ba392c9db79e3ab740b763fe28620b18b/backports.shutil_get_terminal_size-1.0.0.tar.gz";
md5 = "03267762480bd86b50580dc19dff3c66";
};
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
dependencies: bumped pyramid to 1.9 webob to 1.7.3 and webtest to 2.0.27...
r1906 beautifulsoup4 = super.buildPythonPackage {
name = "beautifulsoup4-4.6.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/fa/8d/1d14391fdaed5abada4e0f63543fef49b8331a34ca60c88bd521bcf7f782/beautifulsoup4-4.6.0.tar.gz";
md5 = "c17714d0f91a23b708a592cb3c697728";
};
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
pylons: remove pylons as dependency...
r2351 billiard = super.buildPythonPackage {
name = "billiard-3.5.0.3";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/39/ac/f5571210cca2e4f4532e38aaff242f26c8654c5e2436bee966c230647ccc/billiard-3.5.0.3.tar.gz";
md5 = "113ba481e48400adbf6fbbf59a2f8554";
};
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 bleach = super.buildPythonPackage {
name = "bleach-1.5.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [six html5lib];
src = fetchurl {
url = "https://pypi.python.org/packages/99/00/25a8fce4de102bf6e3cc76bc4ea60685b2fee33bde1b34830c70cacc26a7/bleach-1.5.0.tar.gz";
md5 = "b663300efdf421b3b727b19d7be9c7e7";
};
meta = {
license = [ pkgs.lib.licenses.asl20 ];
};
};
project: added all source files and assets
r1 bottle = super.buildPythonPackage {
dependencies: bumped bottle to 0.12.13
r2291 name = "bottle-0.12.13";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped bottle to 0.12.13
r2291 url = "https://pypi.python.org/packages/bd/99/04dc59ced52a8261ee0f965a8968717a255ea84a36013e527944dbf3468c/bottle-0.12.13.tar.gz";
md5 = "d2fe1b48c1d49217e78bf326b1cad437";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
bumpversion = super.buildPythonPackage {
name = "bumpversion-0.5.3";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/14/41/8c9da3549f8e00c84f0432c3a8cf8ed6898374714676aab91501d48760db/bumpversion-0.5.3.tar.gz";
md5 = "c66a3492eafcf5ad4b024be9fca29820";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
celery = super.buildPythonPackage {
pylons: remove pylons as dependency...
r2351 name = "celery-4.1.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
pylons: remove pylons as dependency...
r2351 propagatedBuildInputs = with self; [pytz billiard kombu];
project: added all source files and assets
r1 src = fetchurl {
pylons: remove pylons as dependency...
r2351 url = "https://pypi.python.org/packages/07/65/88a2a45fc80f487872c93121a701a53bbbc3d3d832016876fac84fc8d46a/celery-4.1.0.tar.gz";
md5 = "db91e1d26936381127f01e150fe3054a";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
packaging: added channelstream and gevent back into CE....
r525 channelstream = super.buildPythonPackage {
channelstream: do not send comment notifications to the commenting user
r544 name = "channelstream-0.5.2";
packaging: added channelstream and gevent back into CE....
r525 buildInputs = with self; [];
doCheck = false;
channelstream: do not send comment notifications to the commenting user
r544 propagatedBuildInputs = with self; [gevent ws4py pyramid pyramid-jinja2 itsdangerous requests six];
packaging: added channelstream and gevent back into CE....
r525 src = fetchurl {
channelstream: do not send comment notifications to the commenting user
r544 url = "https://pypi.python.org/packages/2b/31/29a8e085cf5bf97fa88e7b947adabfc581a18a3463adf77fb6dada34a65f/channelstream-0.5.2.tar.gz";
md5 = "1c5eb2a8a405be6f1073da94da6d81d3";
packaging: added channelstream and gevent back into CE....
r525 };
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
project: added all source files and assets
r1 click = super.buildPythonPackage {
dependencies: bumped click to 6.6.0
r2301 name = "click-6.6";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped click to 6.6.0
r2301 url = "https://pypi.python.org/packages/7a/00/c14926d8232b36b08218067bcd5853caefb4737cda3f0a47437151344792/click-6.6.tar.gz";
md5 = "d0b09582123605220ad6977175f3e51d";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
colander = super.buildPythonPackage {
dependencies: bumped colander to 1.4.0
r2279 name = "colander-1.4";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [translationstring iso8601];
src = fetchurl {
dependencies: bumped colander to 1.4.0
r2279 url = "https://pypi.python.org/packages/cc/e2/c4e716ac4a426d8ad4dfe306c34f0018a22275d2420815784005bf771c84/colander-1.4.tar.gz";
md5 = "cbb8e403c2ba05aeaa419d51fdb93736";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "BSD-derived (http://www.repoze.org/LICENSE.txt)"; } ];
};
project: added all source files and assets
r1 };
configobj = super.buildPythonPackage {
name = "configobj-5.0.6";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [six];
src = fetchurl {
url = "https://pypi.python.org/packages/64/61/079eb60459c44929e684fa7d9e2fdca403f67d64dd9dbac27296be2e0fab/configobj-5.0.6.tar.gz";
md5 = "e472a3a1c2a67bb0ec9b5d54c13a47d6";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 configparser = super.buildPythonPackage {
name = "configparser-3.5.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/7c/69/c2ce7e91c89dc073eb1aa74c0621c3eefbffe8216b3f9af9d3885265c01c/configparser-3.5.0.tar.gz";
md5 = "cfdd915a5b7a6c09917a64a573140538";
};
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
project: added all source files and assets
r1 cov-core = super.buildPythonPackage {
name = "cov-core-1.15.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [coverage];
src = fetchurl {
url = "https://pypi.python.org/packages/4b/87/13e75a47b4ba1be06f29f6d807ca99638bedc6b57fa491cd3de891ca2923/cov-core-1.15.0.tar.gz";
md5 = "f519d4cb4c4e52856afb14af52919fe6";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
coverage = super.buildPythonPackage {
name = "coverage-3.7.1";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/09/4f/89b06c7fdc09687bca507dc411c342556ef9c5a3b26756137a4878ff19bf/coverage-3.7.1.tar.gz";
md5 = "c47b36ceb17eaff3ecfab3bcd347d0df";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
cssselect = super.buildPythonPackage {
dependencies: added css select into required libraries.
r1528 name = "cssselect-1.0.1";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: added css select into required libraries.
r1528 url = "https://pypi.python.org/packages/77/ff/9c865275cd19290feba56344eba570e719efb7ca5b34d67ed12b22ebbb0d/cssselect-1.0.1.tar.gz";
md5 = "3fa03bf82a9f0b1223c0f1eb1369e139";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
decorator = super.buildPythonPackage {
dependencies: bumped decorator to 4.1.2
r2282 name = "decorator-4.1.2";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped decorator to 4.1.2
r2282 url = "https://pypi.python.org/packages/bb/e0/f6e41e9091e130bf16d4437dabbac3993908e4d6485ecbc985ef1352db94/decorator-4.1.2.tar.gz";
md5 = "a0f7f4fe00ae2dde93494d90c192cf8c";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
dependencies: made decorator lib use the same version for rc and vcsserver.
r1523 license = [ pkgs.lib.licenses.bsdOriginal { fullName = "new BSD License"; } ];
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 };
project: added all source files and assets
r1 };
dan
forms: add deform for integration settings forms
r518 deform = super.buildPythonPackage {
dependencies: bumped deform to 2.0.4
r1712 name = "deform-2.0.4";
dan
forms: add deform for integration settings forms
r518 buildInputs = with self; [];
doCheck = false;
dependencies: bumped deform to 2.0.4
r1712 propagatedBuildInputs = with self; [Chameleon colander iso8601 peppercorn translationstring zope.deprecation];
dan
deform: use pypi deform library and add helpers to deform scope directly...
r519 src = fetchurl {
dependencies: bumped deform to 2.0.4
r1712 url = "https://pypi.python.org/packages/66/3b/eefcb07abcab7a97f6665aa2d0cf1af741d9d6e78a2e4657fd2b89f89880/deform-2.0.4.tar.gz";
md5 = "34756e42cf50dd4b4430809116c4ec0a";
dan
forms: add deform for integration settings forms
r518 };
meta = {
license = [ { fullName = "BSD-derived (http://www.repoze.org/LICENSE.txt)"; } ];
};
};
project: added all source files and assets
r1 docutils = super.buildPythonPackage {
dependencies: bumped docutils to 0.14.0
r2283 name = "docutils-0.14";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped docutils to 0.14.0
r2283 url = "https://pypi.python.org/packages/84/f4/5771e41fdf52aabebbadecc9381d11dea0fa34e4759b4071244fa094804c/docutils-0.14.tar.gz";
md5 = "c53768d63db3873b7d452833553469de";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal pkgs.lib.licenses.publicDomain pkgs.lib.licenses.gpl1 { fullName = "public domain, Python, 2-Clause BSD, GPL 3 (see COPYING.txt)"; } pkgs.lib.licenses.psfl ];
};
project: added all source files and assets
r1 };
dogpile.cache = super.buildPythonPackage {
dependencies: bumped dogpile.cache to 0.6.4
r1873 name = "dogpile.cache-0.6.4";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
packaging: added channelstream and gevent back into CE....
r525 propagatedBuildInputs = with self; [];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped dogpile.cache to 0.6.4
r1873 url = "https://pypi.python.org/packages/b6/3d/35c05ca01c070bb70d9d422f2c4858ecb021b05b21af438fec5ccd7b945c/dogpile.cache-0.6.4.tar.gz";
md5 = "66e0a6cae6c08cb1ea25f89d0eadfeb0";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
dogpile.core = super.buildPythonPackage {
name = "dogpile.core-0.4.1";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/0e/77/e72abc04c22aedf874301861e5c1e761231c288b5de369c18be8f4b5c9bb/dogpile.core-0.4.1.tar.gz";
md5 = "01cb19f52bba3e95c9b560f39341f045";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
ecdsa = super.buildPythonPackage {
users: added SSH key management for user admin pages
r1993 name = "ecdsa-0.13";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
users: added SSH key management for user admin pages
r1993 url = "https://pypi.python.org/packages/f9/e5/99ebb176e47f150ac115ffeda5fedb6a3dbb3c00c74a59fd84ddf12f5857/ecdsa-0.13.tar.gz";
md5 = "1f60eda9cb5c46722856db41a3ae6670";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
elasticsearch = super.buildPythonPackage {
dan
pkgs: update rce to use tools 0.8.0
r110 name = "elasticsearch-2.3.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [urllib3];
src = fetchurl {
dan
pkgs: update rce to use tools 0.8.0
r110 url = "https://pypi.python.org/packages/10/35/5fd52c5f0b0ee405ed4b5195e8bce44c5e041787680dc7b94b8071cac600/elasticsearch-2.3.0.tar.gz";
md5 = "2550f3b51629cf1ef9636608af92c340";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.asl20 ];
};
dan
pkgs: update rce to use tools 0.8.0
r110 };
elasticsearch-dsl = super.buildPythonPackage {
packaging: updated rhodecode-tools to 0.11.0
r1235 name = "elasticsearch-dsl-2.2.0";
dan
pkgs: update rce to use tools 0.8.0
r110 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [six python-dateutil elasticsearch];
src = fetchurl {
packaging: updated rhodecode-tools to 0.11.0
r1235 url = "https://pypi.python.org/packages/66/2f/52a086968788e58461641570f45c3207a52d46ebbe9b77dc22b6a8ffda66/elasticsearch-dsl-2.2.0.tar.gz";
md5 = "fa6bd3c87ea3caa8f0f051bc37c53221";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.asl20 ];
};
project: added all source files and assets
r1 };
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 entrypoints = super.buildPythonPackage {
name = "entrypoints-0.2.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [configparser];
src = fetchurl {
url = "https://code.rhodecode.com/upstream/entrypoints/archive/96e6d645684e1af3d7df5b5272f3fe85a546b233.tar.gz?md5=7db37771aea9ac9fefe093e5d6987313";
md5 = "7db37771aea9ac9fefe093e5d6987313";
};
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 enum34 = super.buildPythonPackage {
name = "enum34-1.1.6";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/bf/3e/31d502c25302814a7c2f1d3959d2a3b3f78e509002ba91aea64993936876/enum34-1.1.6.tar.gz";
md5 = "5f13a0841a61f7fc295c514490d120d0";
};
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
dependencies: bumped Beaker to 1.9.0
r1875 funcsigs = super.buildPythonPackage {
name = "funcsigs-1.0.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/94/4a/db842e7a0545de1cdb0439bb80e6e42dfe82aaeaadd4072f2263a4fbed23/funcsigs-1.0.2.tar.gz";
md5 = "7e583285b1fb8a76305d6d68f4ccc14e";
};
meta = {
license = [ { fullName = "ASL"; } pkgs.lib.licenses.asl20 ];
};
};
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 functools32 = super.buildPythonPackage {
name = "functools32-3.2.3.post2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/5e/1a/0aa2c8195a204a9f51284018562dea77e25511f02fe924fac202fc012172/functools32-3.2.3-2.zip";
md5 = "d55232eb132ec779e6893c902a0bc5ad";
};
meta = {
license = [ pkgs.lib.licenses.psfl ];
};
};
project: added all source files and assets
r1 future = super.buildPythonPackage {
name = "future-0.14.3";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/83/80/8ef3a11a15f8eaafafa0937b20c1b3f73527e69ab6b3fa1cf94a5a96aabb/future-0.14.3.tar.gz";
md5 = "e94079b0bd1fc054929e8769fc0f6083";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "OSI Approved"; } pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
futures = super.buildPythonPackage {
name = "futures-3.0.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/f8/e7/fc0fcbeb9193ba2d4de00b065e7fd5aecd0679e93ce95a07322b2b1434f4/futures-3.0.2.tar.gz";
md5 = "42aaf1e4de48d6e871d77dc1f9d96d5a";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
packaging: added channelstream and gevent back into CE....
r525 gevent = super.buildPythonPackage {
dependencies: bumped gevent to 1.2.2 together with greenlet to 0.4.12
r1864 name = "gevent-1.2.2";
packaging: added channelstream and gevent back into CE....
r525 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [greenlet];
src = fetchurl {
dependencies: bumped gevent to 1.2.2 together with greenlet to 0.4.12
r1864 url = "https://pypi.python.org/packages/1b/92/b111f76e54d2be11375b47b213b56687214f258fd9dae703546d30b837be/gevent-1.2.2.tar.gz";
md5 = "7f0baf355384fe5ff2ecf66853422554";
packaging: added channelstream and gevent back into CE....
r525 };
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
project: added all source files and assets
r1 gnureadline = super.buildPythonPackage {
dependencies: bumped gnureadline 6.3.8
r2292 name = "gnureadline-6.3.8";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped gnureadline 6.3.8
r2292 url = "https://pypi.python.org/packages/50/64/86085c823cd78f9df9d8e33dce0baa71618016f8860460b82cf6610e1eb3/gnureadline-6.3.8.tar.gz";
md5 = "ba341f4b907250bd1f47dbc06290604f";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
dependencies: bumped gnureadline 6.3.8
r2292 license = [ { fullName = "GNU General Public License v3 (GPLv3)"; } pkgs.lib.licenses.gpl1 ];
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 };
project: added all source files and assets
r1 };
gprof2dot = super.buildPythonPackage {
dependencies: bumped test libraries versions....
r2274 name = "gprof2dot-2017.9.19";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped test libraries versions....
r2274 url = "https://pypi.python.org/packages/9d/36/f977122502979f3dfb50704979c9ed70e6b620787942b089bf1af15f5aba/gprof2dot-2017.9.19.tar.gz";
md5 = "cda2d552bb0d0b9f16e6824a9aabd225";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
dependencies: bumped test libraries versions....
r2274 license = [ { fullName = "GNU Lesser General Public License v3 or later (LGPLv3+)"; } { fullName = "LGPL"; } ];
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 };
project: added all source files and assets
r1 };
release: updated pip2nix output for 4.8.0
r1853 graphviz = super.buildPythonPackage {
dependencies: bumped objgraph to 3.1.1
r2285 name = "graphviz-0.8.1";
release: updated pip2nix output for 4.8.0
r1853 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped objgraph to 3.1.1
r2285 url = "https://pypi.python.org/packages/a9/a6/ee6721349489a2da6eedd3dba124f2b5ac15ee1e0a7bd4d3cfdc4fff0327/graphviz-0.8.1.zip";
md5 = "88d8efa88c02a735b3659fe0feaf0b96";
release: updated pip2nix output for 4.8.0
r1853 };
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
packaging: added channelstream and gevent back into CE....
r525 greenlet = super.buildPythonPackage {
dependencies: bumped gevent to 1.2.2 together with greenlet to 0.4.12
r1864 name = "greenlet-0.4.12";
packaging: added channelstream and gevent back into CE....
r525 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped gevent to 1.2.2 together with greenlet to 0.4.12
r1864 url = "https://pypi.python.org/packages/be/76/82af375d98724054b7e273b5d9369346937324f9bcc20980b45b068ef0b0/greenlet-0.4.12.tar.gz";
md5 = "e8637647d58a26c4a1f51ca393e53c00";
packaging: added channelstream and gevent back into CE....
r525 };
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
project: added all source files and assets
r1 gunicorn = super.buildPythonPackage {
dependencies: bumped http servers including gunicorn....
r1865 name = "gunicorn-19.7.1";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped http servers including gunicorn....
r1865 url = "https://pypi.python.org/packages/30/3a/10bb213cede0cc4d13ac2263316c872a64bf4c819000c8ccd801f1d5f822/gunicorn-19.7.1.tar.gz";
md5 = "174d3c3cd670a5be0404d84c484e590c";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 html5lib = super.buildPythonPackage {
name = "html5lib-0.9999999";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [six];
src = fetchurl {
url = "https://pypi.python.org/packages/ae/ae/bcb60402c60932b32dfaf19bb53870b29eda2cd17551ba5639219fb5ebf9/html5lib-0.9999999.tar.gz";
md5 = "ef43cb05e9e799f25d65d1135838a96f";
};
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
nix: synced auto-generated nix file with EE
r1911 hupper = super.buildPythonPackage {
name = "hupper-1.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/2e/07/df892c564dc09bb3cf6f6deb976c26adf9117db75ba218cb4353dbc9d826/hupper-1.0.tar.gz";
md5 = "26e77da7d5ac5858f59af050d1a6eb5a";
};
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
project: added all source files and assets
r1 infrae.cache = super.buildPythonPackage {
name = "infrae.cache-1.0.1";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [Beaker repoze.lru];
src = fetchurl {
url = "https://pypi.python.org/packages/bb/f0/e7d5e984cf6592fd2807dc7bc44a93f9d18e04e6a61f87fdfb2622422d74/infrae.cache-1.0.1.tar.gz";
md5 = "b09076a766747e6ed2a755cc62088e32";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.zpt21 ];
};
project: added all source files and assets
r1 };
invoke = super.buildPythonPackage {
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 name = "invoke-0.13.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 url = "https://pypi.python.org/packages/47/bf/d07ef52fa1ac645468858bbac7cb95b246a972a045e821493d17d89c81be/invoke-0.13.0.tar.gz";
md5 = "c0d1ed4bfb34eaab551662d8cfee6540";
};
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
project: added all source files and assets
r1 };
};
dependencies: bumped pyramid-debugtoolbar to 4.3.1
r1907 ipaddress = super.buildPythonPackage {
name = "ipaddress-1.0.18";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped pyramid-debugtoolbar to 4.3.1
r1907 propagatedBuildInputs = with self; [];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped pyramid-debugtoolbar to 4.3.1
r1907 url = "https://pypi.python.org/packages/4e/13/774faf38b445d0b3a844b65747175b2e0500164b7c28d78e34987a5bfe06/ipaddress-1.0.18.tar.gz";
md5 = "310c2dfd64eb6f0df44aa8c59f2334a7";
};
meta = {
license = [ pkgs.lib.licenses.psfl ];
};
};
project: added all source files and assets
r1 ipdb = super.buildPythonPackage {
dependencies: bumped ipdb to 0.10.3
r1869 name = "ipdb-0.10.3";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped ipdb to 0.10.3
r1869 propagatedBuildInputs = with self; [setuptools ipython];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped ipdb to 0.10.3
r1869 url = "https://pypi.python.org/packages/ad/cc/0e7298e1fbf2efd52667c9354a12aa69fb6f796ce230cca03525051718ef/ipdb-0.10.3.tar.gz";
md5 = "def1f6ac075d54bdee07e6501263d4fa";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 license = [ pkgs.lib.licenses.bsdOriginal ];
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 };
project: added all source files and assets
r1 };
ipython = super.buildPythonPackage {
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 name = "ipython-5.1.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [setuptools decorator pickleshare simplegeneric traitlets prompt-toolkit Pygments pexpect backports.shutil-get-terminal-size pathlib2 pexpect];
src = fetchurl {
url = "https://pypi.python.org/packages/89/63/a9292f7cd9d0090a0f995e1167f3f17d5889dcbc9a175261719c513b9848/ipython-5.1.0.tar.gz";
md5 = "47c8122420f65b58784cb4b9b4af35e3";
};
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
ipython-genutils = super.buildPythonPackage {
dependencies: bumped external libraries to latest versions...
r1620 name = "ipython-genutils-0.2.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
docs: updated 4.1 release notes
r160 propagatedBuildInputs = with self; [];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped external libraries to latest versions...
r1620 url = "https://pypi.python.org/packages/e8/69/fbeffffc05236398ebfcfb512b6d2511c622871dca1746361006da310399/ipython_genutils-0.2.0.tar.gz";
md5 = "5a4f9781f78466da0ea1a648f3e1f79f";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
iso8601 = super.buildPythonPackage {
dependencies: bumped iso8601 to 0.1.12
r2295 name = "iso8601-0.1.12";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped iso8601 to 0.1.12
r2295 url = "https://pypi.python.org/packages/45/13/3db24895497345fb44c4248c08b16da34a9eb02643cea2754b21b5ed08b0/iso8601-0.1.12.tar.gz";
md5 = "4de940f691c5ea759fb254384c8ddcf6";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
itsdangerous = super.buildPythonPackage {
name = "itsdangerous-0.24";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/dc/b4/a60bcdba945c00f6d608d8975131ab3f25b22f2bcfe1dab221165194b2d4/itsdangerous-0.24.tar.gz";
md5 = "a3d55aa79369aef5345c036a8a26307f";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 jsonschema = super.buildPythonPackage {
name = "jsonschema-2.6.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [functools32];
src = fetchurl {
url = "https://pypi.python.org/packages/58/b9/171dbb07e18c6346090a37f03c7e74410a1a56123f847efed59af260a298/jsonschema-2.6.0.tar.gz";
md5 = "50c6b69a373a8b55ff1e0ec6e78f13f4";
};
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
jupyter-client = super.buildPythonPackage {
name = "jupyter-client-5.0.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [traitlets jupyter-core pyzmq python-dateutil];
src = fetchurl {
url = "https://pypi.python.org/packages/e5/6f/65412ed462202b90134b7e761b0b7e7f949e07a549c1755475333727b3d0/jupyter_client-5.0.0.tar.gz";
md5 = "1acd331b5c9fb4d79dae9939e79f2426";
};
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
jupyter-core = super.buildPythonPackage {
dependencies: bumped libs not explicitly set by requirements...
r2300 name = "jupyter-core-4.4.0";
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [traitlets];
src = fetchurl {
dependencies: bumped libs not explicitly set by requirements...
r2300 url = "https://pypi.python.org/packages/b6/2d/2804f4de3a95583f65e5dcb4d7c8c7183124882323758996e867f47e72af/jupyter_core-4.4.0.tar.gz";
md5 = "7829fc07884ed98459e170f217e2a5ba";
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 };
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
project: added all source files and assets
r1 kombu = super.buildPythonPackage {
pylons: remove pylons as dependency...
r2351 name = "kombu-4.1.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
pylons: remove pylons as dependency...
r2351 propagatedBuildInputs = with self; [amqp];
project: added all source files and assets
r1 src = fetchurl {
pylons: remove pylons as dependency...
r2351 url = "https://pypi.python.org/packages/03/5e/1a47d1e543d4943d65330af4e4406049f443878818fb65bfdc651bb93a96/kombu-4.1.0.tar.gz";
md5 = "2fb2be9fec0e6514231bba23a3779439";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
lxml = super.buildPythonPackage {
dependencies: bumped lxml and made it a dependency.
r1525 name = "lxml-3.7.3";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped lxml and made it a dependency.
r1525 url = "https://pypi.python.org/packages/39/e8/a8e0b1fa65dd021d48fe21464f71783655f39a41f218293c1c590d54eb82/lxml-3.7.3.tar.gz";
md5 = "075692ce442e69bbd604d44e21c02753";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 mistune = super.buildPythonPackage {
dependencies: bumped mistune to 0.8.1
r2322 name = "mistune-0.8.1";
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped mistune to 0.8.1
r2322 url = "https://pypi.python.org/packages/d6/c6/a79d71f6245a8c409a6db3ca2cb86ac657f34b3cfc92b9549b7a9489bfe0/mistune-0.8.1.tar.gz";
md5 = "0fba2b3858a529fc6df675dc7d534bf4";
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 };
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
project: added all source files and assets
r1 mock = super.buildPythonPackage {
name = "mock-1.0.1";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/15/45/30273ee91feb60dabb8fbb2da7868520525f02cf910279b3047182feed80/mock-1.0.1.zip";
md5 = "869f08d003c289a97c1a6610faf5e913";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
msgpack-python = super.buildPythonPackage {
dependencies: bumped msgpack to version 0.4.8.
r1225 name = "msgpack-python-0.4.8";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped msgpack to version 0.4.8.
r1225 url = "https://pypi.python.org/packages/21/27/8a1d82041c7a2a51fcc73675875a5f9ea06c2663e02fcfeb708be1d081a0/msgpack-python-0.4.8.tar.gz";
md5 = "dcd854fb41ee7584ebbf35e049e6be98";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.asl20 ];
};
project: added all source files and assets
r1 };
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 nbconvert = super.buildPythonPackage {
name = "nbconvert-5.1.1";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [mistune Jinja2 Pygments traitlets jupyter-core nbformat entrypoints bleach pandocfilters testpath];
src = fetchurl {
url = "https://pypi.python.org/packages/95/58/df1c91f1658ee5df19097f915a1e71c91fc824a708d82d2b2e35f8b80e9a/nbconvert-5.1.1.tar.gz";
md5 = "d0263fb03a44db2f94eea09a608ed813";
};
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
nbformat = super.buildPythonPackage {
name = "nbformat-4.3.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [ipython-genutils traitlets jsonschema jupyter-core];
src = fetchurl {
url = "https://pypi.python.org/packages/f9/c5/89df4abf906f766727f976e170caa85b4f1c1d1feb1f45d716016e68e19f/nbformat-4.3.0.tar.gz";
md5 = "9a00d20425914cd5ba5f97769d9963ca";
};
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
project: added all source files and assets
r1 objgraph = super.buildPythonPackage {
dependencies: bumped objgraph to 3.1.1
r2285 name = "objgraph-3.1.1";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
release: updated pip2nix output for 4.8.0
r1853 propagatedBuildInputs = with self; [graphviz];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped objgraph to 3.1.1
r2285 url = "https://pypi.python.org/packages/be/58/9ca81a20cc837054e94866df1475d899caaa94f3732b8a46006858b015f7/objgraph-3.1.1.tar.gz";
md5 = "253af9944763377877c3678d8aaebb8b";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
packaging = super.buildPythonPackage {
name = "packaging-15.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/24/c4/185da1304f07047dc9e0c46c31db75c0351bd73458ac3efad7da3dbcfbe1/packaging-15.2.tar.gz";
md5 = "c16093476f6ced42128bf610e5db3784";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.asl20 ];
};
project: added all source files and assets
r1 };
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 pandocfilters = super.buildPythonPackage {
dependencies: bumped pandadoc_filters to 1.4.2
r2028 name = "pandocfilters-1.4.2";
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped pandadoc_filters to 1.4.2
r2028 url = "https://pypi.python.org/packages/4c/ea/236e2584af67bb6df960832731a6e5325fd4441de001767da328c33368ce/pandocfilters-1.4.2.tar.gz";
md5 = "dc391791ef54c7de1572d7b46b63361f";
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 };
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 pathlib2 = super.buildPythonPackage {
dependencies: bumped pathlib2 to 2.3.0.
r1866 name = "pathlib2-2.3.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped pathlib2 to 2.3.0.
r1866 propagatedBuildInputs = with self; [six scandir];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped pathlib2 to 2.3.0.
r1866 url = "https://pypi.python.org/packages/a1/14/df0deb867c2733f7d857523c10942b3d6612a1b222502fdffa9439943dfb/pathlib2-2.3.0.tar.gz";
md5 = "89c90409d11fd5947966b6a30a47d18c";
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 };
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
nix: added required peppercorn lib
r521 peppercorn = super.buildPythonPackage {
name = "peppercorn-0.5";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/45/ec/a62ec317d1324a01567c5221b420742f094f05ee48097e5157d32be3755c/peppercorn-0.5.tar.gz";
md5 = "f08efbca5790019ab45d76b7244abd40";
};
meta = {
license = [ { fullName = "BSD-derived (http://www.repoze.org/LICENSE.txt)"; } ];
};
};
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 pexpect = super.buildPythonPackage {
pylons: remove pylons as dependency...
r2351 name = "pexpect-4.3.0";
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [ptyprocess];
src = fetchurl {
pylons: remove pylons as dependency...
r2351 url = "https://pypi.python.org/packages/f8/44/5466c30e49762bb92e442bbdf4472d6904608d211258eb3198a11f0309a4/pexpect-4.3.0.tar.gz";
md5 = "047a486dcd26134b74f2e67046bb61a0";
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 };
meta = {
license = [ pkgs.lib.licenses.isc { fullName = "ISC License (ISCL)"; } ];
};
};
pickleshare = super.buildPythonPackage {
name = "pickleshare-0.7.4";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [pathlib2];
src = fetchurl {
url = "https://pypi.python.org/packages/69/fe/dd137d84daa0fd13a709e448138e310d9ea93070620c9db5454e234af525/pickleshare-0.7.4.tar.gz";
md5 = "6a9e5dd8dfc023031f6b7b3f824cab12";
};
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
dependencies: bumped pyramid to 1.9 webob to 1.7.3 and webtest to 2.0.27...
r1906 plaster = super.buildPythonPackage {
dependencies: bumped libs not explicitly set by requirements...
r2300 name = "plaster-1.0";
dependencies: bumped pyramid to 1.9 webob to 1.7.3 and webtest to 2.0.27...
r1906 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [setuptools];
src = fetchurl {
dependencies: bumped libs not explicitly set by requirements...
r2300 url = "https://pypi.python.org/packages/37/e1/56d04382d718d32751017d32f351214384e529b794084eee20bb52405563/plaster-1.0.tar.gz";
md5 = "80e6beb4760c16fea31754babcc0576e";
dependencies: bumped pyramid to 1.9 webob to 1.7.3 and webtest to 2.0.27...
r1906 };
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
plaster-pastedeploy = super.buildPythonPackage {
name = "plaster-pastedeploy-0.4.1";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [PasteDeploy plaster];
src = fetchurl {
url = "https://pypi.python.org/packages/9d/6e/f8be01ed41c94e6c54ac97cf2eb142a702aae0c8cce31c846f785e525b40/plaster_pastedeploy-0.4.1.tar.gz";
md5 = "f48d5344b922e56c4978eebf1cd2e0d3";
};
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 prompt-toolkit = super.buildPythonPackage {
dependencies: bumped prompt_toolkit to 1.0.15
r2027 name = "prompt-toolkit-1.0.15";
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [six wcwidth];
src = fetchurl {
dependencies: bumped prompt_toolkit to 1.0.15
r2027 url = "https://pypi.python.org/packages/8a/ad/cf6b128866e78ad6d7f1dc5b7f99885fb813393d9860778b2984582e81b5/prompt_toolkit-1.0.15.tar.gz";
md5 = "8fe70295006dbc8afedd43e5eba99032";
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 };
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
project: added all source files and assets
r1 psutil = super.buildPythonPackage {
dependencies: bumped psutil to 5.4.0
r2284 name = "psutil-5.4.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped psutil to 5.4.0
r2284 url = "https://pypi.python.org/packages/8d/96/1fc6468be91521192861966c40bd73fdf8b065eae6d82dd0f870b9825a65/psutil-5.4.0.tar.gz";
md5 = "01af6219b1e8fcfd53603023967713bf";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
psycopg2 = super.buildPythonPackage {
dependencies: bumped psycopg2 2.7.3.2
r2290 name = "psycopg2-2.7.3.2";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped psycopg2 2.7.3.2
r2290 url = "https://pypi.python.org/packages/dd/47/000b405d73ca22980684fd7bd3318690cc03cfa3b2ae1c5b7fff8050b28a/psycopg2-2.7.3.2.tar.gz";
md5 = "8114e672d5f23fa5329874a4314fbd6f";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.zpt21 { fullName = "GNU Library or Lesser General Public License (LGPL)"; } { fullName = "LGPL with exceptions or ZPL"; } ];
};
project: added all source files and assets
r1 };
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 ptyprocess = super.buildPythonPackage {
dependencies: bumped ptyprocess to 0.5.2
r1861 name = "ptyprocess-0.5.2";
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped ptyprocess to 0.5.2
r1861 url = "https://pypi.python.org/packages/51/83/5d07dc35534640b06f9d9f1a1d2bc2513fb9cc7595a1b0e28ae5477056ce/ptyprocess-0.5.2.tar.gz";
md5 = "d3b8febae1b8c53b054bd818d0bb8665";
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 };
meta = {
license = [ ];
};
};
project: added all source files and assets
r1 py = super.buildPythonPackage {
dependencies: bumped test libraries....
r1863 name = "py-1.4.34";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped test libraries....
r1863 url = "https://pypi.python.org/packages/68/35/58572278f1c097b403879c1e9369069633d1cbad5239b9057944bb764782/py-1.4.34.tar.gz";
md5 = "d9c3d8f734b0819ff48e355d77bf1730";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
py-bcrypt = super.buildPythonPackage {
name = "py-bcrypt-0.4";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/68/b1/1c3068c5c4d2e35c48b38dcc865301ebfdf45f54507086ac65ced1fd3b3d/py-bcrypt-0.4.tar.gz";
md5 = "dd8b367d6b716a2ea2e72392525f4e36";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
packages: added py-gfm lib for markdown extensions.
r316 py-gfm = super.buildPythonPackage {
name = "py-gfm-0.1.3";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [setuptools Markdown];
src = fetchurl {
dependencies: py-gfm is now available via pypi
r2346 url = "https://pypi.python.org/packages/12/e4/6b3d8678da04f97d7490d8264d8de51c2dc9fb91209ccee9c515c95e14c5/py-gfm-0.1.3.tar.gz";
md5 = "e588d9e69640a241b97e2c59c22527a6";
packages: added py-gfm lib for markdown extensions.
r316 };
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
project: added all source files and assets
r1 pycrypto = super.buildPythonPackage {
name = "pycrypto-2.6.1";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/60/db/645aa9af249f059cc3a368b118de33889219e0362141e75d4eaf6f80f163/pycrypto-2.6.1.tar.gz";
md5 = "55a61a054aa66812daf5161a0d5d7eda";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.publicDomain ];
};
project: added all source files and assets
r1 };
pycurl = super.buildPythonPackage {
name = "pycurl-7.19.5";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/6c/48/13bad289ef6f4869b1d8fc11ae54de8cfb3cc4a2eb9f7419c506f763be46/pycurl-7.19.5.tar.gz";
md5 = "47b4eac84118e2606658122104e62072";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit { fullName = "LGPL/MIT"; } { fullName = "GNU Library or Lesser General Public License (LGPL)"; } ];
};
project: added all source files and assets
r1 };
pyflakes = super.buildPythonPackage {
name = "pyflakes-0.8.1";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/75/22/a90ec0252f4f87f3ffb6336504de71fe16a49d69c4538dae2f12b9360a38/pyflakes-0.8.1.tar.gz";
md5 = "905fe91ad14b912807e8fdc2ac2e2c23";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
dan
nix: add pygments-markdown-lexer for highglighting markdown files
r1028 pygments-markdown-lexer = super.buildPythonPackage {
name = "pygments-markdown-lexer-0.1.0.dev39";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [Pygments];
src = fetchurl {
url = "https://pypi.python.org/packages/c3/12/674cdee66635d638cedb2c5d9c85ce507b7b2f91bdba29e482f1b1160ff6/pygments-markdown-lexer-0.1.0.dev39.zip";
md5 = "6360fe0f6d1f896e35b7a0142ce6459c";
};
meta = {
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 license = [ pkgs.lib.licenses.asl20 ];
dan
nix: add pygments-markdown-lexer for highglighting markdown files
r1028 };
};
project: added all source files and assets
r1 pyparsing = super.buildPythonPackage {
name = "pyparsing-1.5.7";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/2e/26/e8fb5b4256a5f5036be7ce115ef8db8d06bc537becfbdc46c6af008314ee/pyparsing-1.5.7.zip";
md5 = "b86854857a368d6ccb4d5b6e76d0637f";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
pyramid = super.buildPythonPackage {
dependencies: bumped Pyramid to 1.9.1
r2031 name = "pyramid-1.9.1";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped pyramid to 1.9 webob to 1.7.3 and webtest to 2.0.27...
r1906 propagatedBuildInputs = with self; [setuptools WebOb repoze.lru zope.interface zope.deprecation venusian translationstring PasteDeploy plaster plaster-pastedeploy hupper];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped Pyramid to 1.9.1
r2031 url = "https://pypi.python.org/packages/9a/57/73447be9e7d0512d601e3f0a1fb9d7d1efb941911f49efdfe036d2826507/pyramid-1.9.1.tar.gz";
md5 = "0163e19c58c2d12976a3b6fdb57e052d";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "Repoze Public License"; } { fullName = "BSD-derived (http://www.repoze.org/LICENSE.txt)"; } ];
};
project: added all source files and assets
r1 };
pyramid-beaker = super.buildPythonPackage {
name = "pyramid-beaker-0.8";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [pyramid Beaker];
src = fetchurl {
url = "https://pypi.python.org/packages/d9/6e/b85426e00fd3d57f4545f74e1c3828552d8700f13ededeef9233f7bca8be/pyramid_beaker-0.8.tar.gz";
md5 = "22f14be31b06549f80890e2c63a93834";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "BSD-derived (http://www.repoze.org/LICENSE.txt)"; } ];
};
project: added all source files and assets
r1 };
pyramid-debugtoolbar = super.buildPythonPackage {
dependencies: bumped pyramid-debugtoolbar to 4.3.0
r2275 name = "pyramid-debugtoolbar-4.3";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped pyramid-debugtoolbar to 4.3.1
r1907 propagatedBuildInputs = with self; [pyramid pyramid-mako repoze.lru Pygments ipaddress];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped pyramid-debugtoolbar to 4.3.0
r2275 url = "https://pypi.python.org/packages/a4/40/f09d8800bfc3c09bdb6c95f37bb61c890dc62c19c4e7caa304da7aa77403/pyramid_debugtoolbar-4.3.tar.gz";
md5 = "9c49029e9f0695130499ef6416ffaaf8";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "Repoze Public License"; } pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
pyramid-jinja2 = super.buildPythonPackage {
dependencies: bumped pyramid-jinja to 2.7.0
r2281 name = "pyramid-jinja2-2.7";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [pyramid zope.deprecation Jinja2 MarkupSafe];
src = fetchurl {
dependencies: bumped pyramid-jinja to 2.7.0
r2281 url = "https://pypi.python.org/packages/d8/80/d60a7233823de22ce77bd864a8a83736a1fe8b49884b08303a2e68b2c853/pyramid_jinja2-2.7.tar.gz";
md5 = "c2f8b2cd7b73a6f1d9a311fcfaf4fb92";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "Repoze Public License"; } { fullName = "BSD-derived (http://www.repoze.org/LICENSE.txt)"; } ];
};
project: added all source files and assets
r1 };
pyramid-mako = super.buildPythonPackage {
name = "pyramid-mako-1.0.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [pyramid Mako];
src = fetchurl {
url = "https://pypi.python.org/packages/f1/92/7e69bcf09676d286a71cb3bbb887b16595b96f9ba7adbdc239ffdd4b1eb9/pyramid_mako-1.0.2.tar.gz";
md5 = "ee25343a97eb76bd90abdc2a774eb48a";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "Repoze Public License"; } { fullName = "BSD-derived (http://www.repoze.org/LICENSE.txt)"; } ];
};
project: added all source files and assets
r1 };
pysqlite = super.buildPythonPackage {
dependencies: bumped pysqlite to 2.8.3
r1880 name = "pysqlite-2.8.3";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped pysqlite to 2.8.3
r1880 url = "https://pypi.python.org/packages/42/02/981b6703e3c83c5b25a829c6e77aad059f9481b0bbacb47e6e8ca12bd731/pysqlite-2.8.3.tar.gz";
md5 = "033f17b8644577715aee55e8832ac9fc";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "zlib/libpng License"; } { fullName = "zlib/libpng license"; } ];
};
project: added all source files and assets
r1 };
pytest = super.buildPythonPackage {
dependencies: bumped test libraries versions....
r2274 name = "pytest-3.2.3";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped test libraries....
r1863 propagatedBuildInputs = with self; [py setuptools];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped test libraries versions....
r2274 url = "https://pypi.python.org/packages/53/d0/208853c09be8377e6d4de7c0df875ef7ef37189373d76a74b65b44e50528/pytest-3.2.3.tar.gz";
md5 = "698f8929e095a1c37876b5567943be79";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
pytest-catchlog = super.buildPythonPackage {
name = "pytest-catchlog-1.2.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [py pytest];
src = fetchurl {
url = "https://pypi.python.org/packages/f2/2b/2faccdb1a978fab9dd0bf31cca9f6847fbe9184a0bdcc3011ac41dd44191/pytest-catchlog-1.2.2.zip";
md5 = "09d890c54c7456c818102b7ff8c182c8";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
pytest-cov = super.buildPythonPackage {
dependencies: bumped test libraries....
r1863 name = "pytest-cov-2.5.1";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped pytest libraries to latest versions.
r1221 propagatedBuildInputs = with self; [pytest coverage];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped test libraries....
r1863 url = "https://pypi.python.org/packages/24/b4/7290d65b2f3633db51393bdf8ae66309b37620bc3ec116c5e357e3e37238/pytest-cov-2.5.1.tar.gz";
md5 = "5acf38d4909e19819eb5c1754fbfc0ac";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
dependencies: bumped pytest libraries to latest versions.
r1221 license = [ pkgs.lib.licenses.bsdOriginal pkgs.lib.licenses.mit ];
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 };
project: added all source files and assets
r1 };
pytest-profiling = super.buildPythonPackage {
dependencies: bumped test libraries versions....
r2274 name = "pytest-profiling-1.2.11";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [six pytest gprof2dot];
src = fetchurl {
dependencies: bumped test libraries versions....
r2274 url = "https://pypi.python.org/packages/c0/4a/b4aa786e93c07a86f1f87c581a36bf355a9e06a9da7e00dbd05047626bd2/pytest-profiling-1.2.11.tar.gz";
md5 = "9ef6b60248731be5d44477980408e8f7";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
pytest-runner = super.buildPythonPackage {
dependencies: bumped test libraries....
r1863 name = "pytest-runner-2.11.1";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped test libraries....
r1863 url = "https://pypi.python.org/packages/9e/4d/08889e5e27a9f5d6096b9ad257f4dea1faabb03c5ded8f665ead448f5d8a/pytest-runner-2.11.1.tar.gz";
md5 = "bdb73eb18eca2727944a2dcf963c5a81";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
dependencies: organized test requirements....
r1222 pytest-sugar = super.buildPythonPackage {
dependencies: bumped test libraries versions....
r2274 name = "pytest-sugar-0.9.0";
dependencies: organized test requirements....
r1222 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [pytest termcolor];
src = fetchurl {
dependencies: bumped test libraries versions....
r2274 url = "https://pypi.python.org/packages/49/d8/c5ff6cca3ce2ebd8b73eec89779bf6b4a7737456a70e8ea4d44c1ff90f71/pytest-sugar-0.9.0.tar.gz";
md5 = "89fbff17277fa6a95a560a04b68cb9f9";
dependencies: organized test requirements....
r1222 };
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
project: added all source files and assets
r1 pytest-timeout = super.buildPythonPackage {
dependencies: bumped pytest libraries to latest versions.
r1221 name = "pytest-timeout-1.2.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [pytest];
src = fetchurl {
dependencies: bumped pytest libraries to latest versions.
r1221 url = "https://pypi.python.org/packages/cc/b7/b2a61365ea6b6d2e8881360ae7ed8dad0327ad2df89f2f0be4a02304deb2/pytest-timeout-1.2.0.tar.gz";
md5 = "83607d91aa163562c7ee835da57d061d";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit { fullName = "DFSG approved"; } ];
};
project: added all source files and assets
r1 };
python-dateutil = super.buildPythonPackage {
pylons: remove pylons as dependency...
r2351 name = "python-dateutil-2.6.1";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 propagatedBuildInputs = with self; [six];
project: added all source files and assets
r1 src = fetchurl {
pylons: remove pylons as dependency...
r2351 url = "https://pypi.python.org/packages/54/bb/f1db86504f7a49e1d9b9301531181b00a1c7325dc85a29160ee3eaa73a54/python-dateutil-2.6.1.tar.gz";
md5 = "db38f6b4511cefd76014745bb0cc45a4";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
pylons: remove pylons as dependency...
r2351 license = [ pkgs.lib.licenses.bsdOriginal { fullName = "Simplified BSD"; } ];
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 };
project: added all source files and assets
r1 };
python-editor = super.buildPythonPackage {
release: updated pip2nix output for 4.5.0
r1174 name = "python-editor-1.0.3";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
release: updated pip2nix output for 4.5.0
r1174 url = "https://pypi.python.org/packages/65/1e/adf6e000ea5dc909aa420352d6ba37f16434c8a3c2fa030445411a1ed545/python-editor-1.0.3.tar.gz";
md5 = "0aca5f2ef176ce68e98a5b7e31372835";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
release: updated pip2nix output for 4.4.2
r996 license = [ pkgs.lib.licenses.asl20 { fullName = "Apache"; } ];
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 };
project: added all source files and assets
r1 };
python-ldap = super.buildPythonPackage {
dependencies: bumped python-ldap to 2.4.45
r2293 name = "python-ldap-2.4.45";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [setuptools];
src = fetchurl {
dependencies: bumped python-ldap to 2.4.45
r2293 url = "https://pypi.python.org/packages/ce/52/6b5372d0166820f4a4b0a88ed73dc7504219355049fc1d266d8ccdb7942e/python-ldap-2.4.45.tar.gz";
md5 = "6108e189a44eea8bc7d1cc281c222978";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.psfl ];
};
project: added all source files and assets
r1 };
python-memcached = super.buildPythonPackage {
dependencies: bumped python-memcached to 1.58
r1881 name = "python-memcached-1.58";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [six];
src = fetchurl {
dependencies: bumped python-memcached to 1.58
r1881 url = "https://pypi.python.org/packages/f7/62/14b2448cfb04427366f24104c9da97cf8ea380d7258a3233f066a951a8d8/python-memcached-1.58.tar.gz";
md5 = "23b258105013d14d899828d334e6b044";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.psfl ];
};
project: added all source files and assets
r1 };
python-pam = super.buildPythonPackage {
name = "python-pam-1.8.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/de/8c/f8f5d38b4f26893af267ea0b39023d4951705ab0413a39e0cf7cf4900505/python-pam-1.8.2.tar.gz";
md5 = "db71b6b999246fb05d78ecfbe166629d";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "License :: OSI Approved :: MIT License"; } pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
pytz = super.buildPythonPackage {
pylons: remove pylons as dependency...
r2351 name = "pytz-2017.3";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
pylons: remove pylons as dependency...
r2351 url = "https://pypi.python.org/packages/60/88/d3152c234da4b2a1f7a989f89609ea488225eaea015bc16fbde2b3fdfefa/pytz-2017.3.zip";
md5 = "7006b56c0d68a162d9fe57d4249c3171";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
pyzmq = super.buildPythonPackage {
name = "pyzmq-14.6.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/8a/3b/5463d5a9d712cd8bbdac335daece0d69f6a6792da4e3dd89956c0db4e4e6/pyzmq-14.6.0.tar.gz";
md5 = "395b5de95a931afa5b14c9349a5b8024";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal { fullName = "LGPL+BSD"; } { fullName = "GNU Library or Lesser General Public License (LGPL)"; } ];
};
project: added all source files and assets
r1 };
recaptcha-client = super.buildPythonPackage {
name = "recaptcha-client-1.0.6";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/0a/ea/5f2fbbfd894bdac1c68ef8d92019066cfcf9fbff5fe3d728d2b5c25c8db4/recaptcha-client-1.0.6.tar.gz";
md5 = "74228180f7e1fb76c4d7089160b0d919";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "MIT/X11"; } ];
};
project: added all source files and assets
r1 };
dependencies: added redis library to enable usage of redis backend for beaker.
r2158 redis = super.buildPythonPackage {
name = "redis-2.10.6";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/09/8d/6d34b75326bf96d4139a2ddd8e74b80840f800a0a79f9294399e212cb9a7/redis-2.10.6.tar.gz";
md5 = "048348d8cfe0b5d0bba2f4d835005c3b";
};
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
project: added all source files and assets
r1 repoze.lru = super.buildPythonPackage {
dependencies: bumped repoze.lru to 0.7.0
r2294 name = "repoze.lru-0.7";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped repoze.lru to 0.7.0
r2294 url = "https://pypi.python.org/packages/12/bc/595a77c4b5e204847fdf19268314ef59c85193a9dc9f83630fc459c0fee5/repoze.lru-0.7.tar.gz";
md5 = "c08cc030387e0b1fc53c5c7d964b35e2";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "Repoze Public License"; } { fullName = "BSD-derived (http://www.repoze.org/LICENSE.txt)"; } ];
};
project: added all source files and assets
r1 };
requests = super.buildPythonPackage {
name = "requests-2.9.1";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/f9/6d/07c44fb1ebe04d069459a189e7dab9e4abfe9432adcd4477367c25332748/requests-2.9.1.tar.gz";
md5 = "0b7f480d19012ec52bab78292efd976d";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.asl20 ];
};
project: added all source files and assets
r1 };
rhodecode-enterprise-ce = super.buildPythonPackage {
dependencies: bumped libs not explicitly set by requirements...
r2300 name = "rhodecode-enterprise-ce-4.11.0";
dependencies: added css select into required libraries.
r1528 buildInputs = with self; [pytest py pytest-cov pytest-sugar pytest-runner pytest-catchlog pytest-profiling gprof2dot pytest-timeout mock WebTest cov-core coverage configobj];
project: added all source files and assets
r1 doCheck = true;
pylons: remove pylons as dependency...
r2351 propagatedBuildInputs = with self; [setuptools-scm amqplib amqp authomatic Babel Beaker celery Chameleon channelstream click colander configobj cssselect decorator deform docutils dogpile.cache dogpile.core ecdsa FormEncode future futures gnureadline infrae.cache iso8601 itsdangerous Jinja2 billiard kombu lxml Mako Markdown MarkupSafe msgpack-python MySQL-python objgraph packaging Paste PasteDeploy PasteScript pathlib2 peppercorn psutil psycopg2 py-bcrypt pycrypto pycurl pyflakes pygments-markdown-lexer Pygments pyparsing pyramid-beaker pyramid-debugtoolbar pyramid-jinja2 pyramid-mako pyramid pysqlite python-dateutil python-ldap python-memcached python-pam pytz pyzmq py-gfm recaptcha-client redis repoze.lru requests Routes setproctitle simplejson six SQLAlchemy sshpubkeys subprocess32 Tempita translationstring trollius urllib3 URLObject venusian WebError WebHelpers2 WebHelpers WebOb Whoosh wsgiref zope.cachedescriptors zope.deprecation zope.event zope.interface nbconvert bleach nbformat jupyter-client alembic invoke bumpversion transifex-client gevent greenlet gunicorn waitress uWSGI ipdb ipython CProfileV bottle rhodecode-tools appenlight-client pytest py pytest-cov pytest-sugar pytest-runner pytest-catchlog pytest-profiling gprof2dot pytest-timeout mock WebTest cov-core coverage];
project: added all source files and assets
r1 src = ./.;
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
packaging: restructured setup.py file....
r1234 license = [ { fullName = "Affero GNU General Public License v3 or later (AGPLv3+)"; } { fullName = "AGPLv3, and Commercial License"; } ];
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 };
project: added all source files and assets
r1 };
rhodecode-tools = super.buildPythonPackage {
dependencies: pin to rhodecode-tools 0.14.0
r2302 name = "rhodecode-tools-0.14.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
packaging: updated rhodecode-tools to 0.11.0
r1235 propagatedBuildInputs = with self; [click future six Mako MarkupSafe requests elasticsearch elasticsearch-dsl urllib3 Whoosh];
project: added all source files and assets
r1 src = fetchurl {
dependencies: pin to rhodecode-tools 0.14.0
r2302 url = "https://code.rhodecode.com/rhodecode-tools-ce/archive/v0.14.0.tar.gz?md5=15de9be3d185d832c4af2156fefc8eeb";
md5 = "15de9be3d185d832c4af2156fefc8eeb";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "AGPLv3 and Proprietary"; } ];
};
project: added all source files and assets
r1 };
dependencies: bumped pathlib2 to 2.3.0.
r1866 scandir = super.buildPythonPackage {
dependencies: bumped libs not explicitly set by requirements...
r2300 name = "scandir-1.6";
dependencies: bumped pathlib2 to 2.3.0.
r1866 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped libs not explicitly set by requirements...
r2300 url = "https://pypi.python.org/packages/77/3f/916f524f50ee65e3f465a280d2851bd63685250fddb3020c212b3977664d/scandir-1.6.tar.gz";
md5 = "0180ddb97c96cbb2d4f25d2ae11c64ac";
dependencies: bumped pathlib2 to 2.3.0.
r1866 };
meta = {
license = [ pkgs.lib.licenses.bsdOriginal { fullName = "New BSD License"; } ];
};
};
project: added all source files and assets
r1 setproctitle = super.buildPythonPackage {
dependencies: bumped setproctitle 1.1.10
r2296 name = "setproctitle-1.1.10";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped setproctitle 1.1.10
r2296 url = "https://pypi.python.org/packages/5a/0d/dc0d2234aacba6cf1a729964383e3452c52096dc695581248b548786f2b3/setproctitle-1.1.10.tar.gz";
md5 = "2dcdd1b761700a5a13252fea3dfd1977";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
project: added all source files and assets
r1 };
setuptools = super.buildPythonPackage {
packaging: updated setuptools to 30.1.0 and setuptools-scm to 1.15.0
r1238 name = "setuptools-30.1.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
packaging: updated setuptools to 30.1.0 and setuptools-scm to 1.15.0
r1238 url = "https://pypi.python.org/packages/1e/43/002c8616db9a3e7be23c2556e39b90a32bb40ba0dc652de1999d5334d372/setuptools-30.1.0.tar.gz";
md5 = "cac497f42e5096ac8df29e38d3f81c3e";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
setuptools-scm = super.buildPythonPackage {
pylons: remove pylons as dependency...
r2351 name = "setuptools-scm-1.15.6";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
pylons: remove pylons as dependency...
r2351 url = "https://pypi.python.org/packages/03/6d/aafdd01edd227ee879b691455bf19895091872af7e48192bea1758c82032/setuptools_scm-1.15.6.tar.gz";
md5 = "f17493d53f0d842bb0152f214775640b";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 simplegeneric = super.buildPythonPackage {
name = "simplegeneric-0.8.1";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/3d/57/4d9c9e3ae9a255cd4e1106bb57e24056d3d0709fc01b2e3e345898e49d5b/simplegeneric-0.8.1.zip";
md5 = "f9c1fab00fd981be588fc32759f474e3";
};
meta = {
license = [ pkgs.lib.licenses.zpt21 ];
};
};
project: added all source files and assets
r1 simplejson = super.buildPythonPackage {
dependencies: bumped simplejson to 3.11.1
r1877 name = "simplejson-3.11.1";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped simplejson to 3.11.1
r1877 url = "https://pypi.python.org/packages/08/48/c97b668d6da7d7bebe7ea1817a6f76394b0ec959cb04214ca833c34359df/simplejson-3.11.1.tar.gz";
md5 = "6e2f1bd5fb0a926facf5d89d217a7183";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
release: updated pip2nix output for 4.4.2
r996 license = [ { fullName = "Academic Free License (AFL)"; } pkgs.lib.licenses.mit ];
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 };
project: added all source files and assets
r1 };
six = super.buildPythonPackage {
dependencies: bumped six to 1.11.0
r2298 name = "six-1.11.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped six to 1.11.0
r2298 url = "https://pypi.python.org/packages/16/d8/bc6316cf98419719bd59c91742194c111b6f2e85abac88e496adefaf7afe/six-1.11.0.tar.gz";
md5 = "d12789f9baf7e9fb2524c0c64f1773f8";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
users: added SSH key management for user admin pages
r1993 sshpubkeys = super.buildPythonPackage {
name = "sshpubkeys-2.2.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [pycrypto ecdsa];
src = fetchurl {
url = "https://pypi.python.org/packages/27/da/337fabeb3dca6b62039a93ceaa636f25065e0ae92b575b1235342076cf0a/sshpubkeys-2.2.0.tar.gz";
md5 = "458e45f6b92b1afa84f0ffe1f1c90935";
};
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
project: added all source files and assets
r1 subprocess32 = super.buildPythonPackage {
dependencies: bumped subprocess32 to 3.2.7
r1867 name = "subprocess32-3.2.7";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped subprocess32 to 3.2.7
r1867 url = "https://pypi.python.org/packages/b8/2f/49e53b0d0e94611a2dc624a1ad24d41b6d94d0f1b0a078443407ea2214c2/subprocess32-3.2.7.tar.gz";
md5 = "824c801e479d3e916879aae3e9c15e16";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.psfl ];
};
project: added all source files and assets
r1 };
dependencies: organized test requirements....
r1222 termcolor = super.buildPythonPackage {
name = "termcolor-1.1.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/8a/48/a76be51647d0eb9f10e2a4511bf3ffb8cc1e6b14e9e4fab46173aa79f981/termcolor-1.1.0.tar.gz";
md5 = "043e89644f8909d462fbbfa511c768df";
};
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 testpath = super.buildPythonPackage {
dependencies: bumped testpath to 0.3.1
r1860 name = "testpath-0.3.1";
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped testpath to 0.3.1
r1860 url = "https://pypi.python.org/packages/f4/8b/b71e9ee10e5f751e9d959bc750ab122ba04187f5aa52aabdc4e63b0e31a7/testpath-0.3.1.tar.gz";
md5 = "2cd5ed5522fda781bb497c9d80ae2fc9";
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 };
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 traitlets = super.buildPythonPackage {
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 name = "traitlets-4.3.2";
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [ipython-genutils six decorator enum34];
src = fetchurl {
jupyter-rendering: add required packaging to handle rendering of jupyter notebooks....
r1488 url = "https://pypi.python.org/packages/a5/98/7f5ef2fe9e9e071813aaf9cb91d1a732e0a68b6c44a32b38cb8e14c3f069/traitlets-4.3.2.tar.gz";
md5 = "3068663f2f38fd939a9eb3a500ccc154";
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 };
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
project: added all source files and assets
r1 transifex-client = super.buildPythonPackage {
dependencies: bumped transifex-clients to 0.12.5
r2299 name = "transifex-client-0.12.5";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped transifex-clients to 0.12.5
r2299 propagatedBuildInputs = with self; [urllib3 six];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped transifex-clients to 0.12.5
r2299 url = "https://pypi.python.org/packages/7b/86/60f31a0c9b8d0b1266ce15b6c80b55f88522140c8acfc395d5aec5e23475/transifex-client-0.12.5.tar.gz";
md5 = "e6e278117b23f60702c06e203b7e51ae";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.gpl2 ];
};
project: added all source files and assets
r1 };
translationstring = super.buildPythonPackage {
name = "translationstring-1.3";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/5e/eb/bee578cc150b44c653b63f5ebe258b5d0d812ddac12497e5f80fcad5d0b4/translationstring-1.3.tar.gz";
md5 = "a4b62e0f3c189c783a1685b3027f7c90";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "BSD-like (http://repoze.org/license.html)"; } ];
};
project: added all source files and assets
r1 };
trollius = super.buildPythonPackage {
name = "trollius-1.0.4";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [futures];
src = fetchurl {
url = "https://pypi.python.org/packages/aa/e6/4141db437f55e6ee7a3fb69663239e3fde7841a811b4bef293145ad6c836/trollius-1.0.4.tar.gz";
md5 = "3631a464d49d0cbfd30ab2918ef2b783";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.asl20 ];
};
project: added all source files and assets
r1 };
uWSGI = super.buildPythonPackage {
dependencies: bumped http servers including gunicorn....
r1865 name = "uWSGI-2.0.15";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped http servers including gunicorn....
r1865 url = "https://pypi.python.org/packages/bb/0a/45e5aa80dc135889594bb371c082d20fb7ee7303b174874c996888cc8511/uwsgi-2.0.15.tar.gz";
md5 = "fc50bd9e83b7602fa474b032167010a7";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.gpl2 ];
};
project: added all source files and assets
r1 };
urllib3 = super.buildPythonPackage {
release: updated pip2nix output for 4.1.1
r177 name = "urllib3-1.16";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
release: updated pip2nix output for 4.1.1
r177 url = "https://pypi.python.org/packages/3b/f0/e763169124e3f5db0926bc3dbfcd580a105f9ca44cf5d8e6c7a803c9f6b5/urllib3-1.16.tar.gz";
md5 = "fcaab1c5385c57deeb7053d3d7d81d59";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.mit ];
};
project: added all source files and assets
r1 };
venusian = super.buildPythonPackage {
dependencies: bumped venusian to 1.1.0
r1862 name = "venusian-1.1.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped venusian to 1.1.0
r1862 url = "https://pypi.python.org/packages/38/24/b4b470ab9e0a2e2e9b9030c7735828c8934b4c6b45befd1bb713ec2aeb2d/venusian-1.1.0.tar.gz";
md5 = "56bc5e6756e4bda37bcdb94f74a72b8f";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "BSD-derived (http://www.repoze.org/LICENSE.txt)"; } ];
};
project: added all source files and assets
r1 };
pylons: remove pylons as dependency...
r2351 vine = super.buildPythonPackage {
name = "vine-1.1.4";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/32/23/36284986e011f3c130d802c3c66abd8f1aef371eae110ddf80c5ae22e1ff/vine-1.1.4.tar.gz";
md5 = "9fdb971e7fd15b181b84f3bfcf20d11c";
};
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
project: added all source files and assets
r1 waitress = super.buildPythonPackage {
dependencies: bumped waitress to 1.1.0
r2297 name = "waitress-1.1.0";
project: added all source files and assets
r1 buildInputs = with self; [];
doCheck = false;
dependencies: bumped waitress version to 1.0.1
r1228 propagatedBuildInputs = with self; [];
project: added all source files and assets
r1 src = fetchurl {
dependencies: bumped waitress to 1.1.0
r2297 url = "https://pypi.python.org/packages/3c/68/1c10dd5c556872ceebe88483b0436140048d39de83a84a06a8baa8136f4f/waitress-1.1.0.tar.gz";
md5 = "0f1eb7fdfdbf2e6d18decbda1733045c";
project: added all source files and assets
r1 };
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.zpt21 ];
};
project: added all source files and assets
r1 };
libraries: bumped ipython to 5.10, bumped ipdb to 0.10.1
r1104 wcwidth = super.buildPythonPackage {
name = "wcwidth-0.1.7";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/55/11/e4a2bb08bb450fdbd42cc709dd40de4ed2c472cf0ccb9e64af22279c5495/wcwidth-0.1.7.tar.gz";
md5 = "b3b6a0a08f0c8a34d1de8cf44150a4ad";
};
meta = {
license = [ pkgs.lib.licenses.mit ];
};
};
channelstream: do not send comment notifications to the commenting user
r544 ws4py = super.buildPythonPackage {
dependencies: bumped libs not explicitly set by requirements...
r2300 name = "ws4py-0.4.2";
channelstream: do not send comment notifications to the commenting user
r544 buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
dependencies: bumped libs not explicitly set by requirements...
r2300 url = "https://pypi.python.org/packages/b8/98/a90f1d96ffcb15dfc220af524ce23e0a5881258dafa197673357ce1683dd/ws4py-0.4.2.tar.gz";
md5 = "f0603ae376707a58d205bd87a67758a2";
channelstream: do not send comment notifications to the commenting user
r544 };
meta = {
license = [ pkgs.lib.licenses.bsdOriginal ];
};
};
project: added all source files and assets
r1 wsgiref = super.buildPythonPackage {
name = "wsgiref-0.1.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [];
src = fetchurl {
url = "https://pypi.python.org/packages/41/9e/309259ce8dff8c596e8c26df86dbc4e848b9249fd36797fd60be456f03fc/wsgiref-0.1.2.zip";
md5 = "29b146e6ebd0f9fb119fe321f7bcf6cb";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ { fullName = "PSF or ZPL"; } ];
};
project: added all source files and assets
r1 };
zope.cachedescriptors = super.buildPythonPackage {
name = "zope.cachedescriptors-4.0.0";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [setuptools];
src = fetchurl {
url = "https://pypi.python.org/packages/40/33/694b6644c37f28553f4b9f20b3c3a20fb709a22574dff20b5bdffb09ecd5/zope.cachedescriptors-4.0.0.tar.gz";
md5 = "8d308de8c936792c8e758058fcb7d0f0";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.zpt21 ];
};
project: added all source files and assets
r1 };
zope.deprecation = super.buildPythonPackage {
name = "zope.deprecation-4.1.2";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [setuptools];
src = fetchurl {
url = "https://pypi.python.org/packages/c1/d3/3919492d5e57d8dd01b36f30b34fc8404a30577392b1eb817c303499ad20/zope.deprecation-4.1.2.tar.gz";
md5 = "e9a663ded58f4f9f7881beb56cae2782";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.zpt21 ];
};
project: added all source files and assets
r1 };
zope.event = super.buildPythonPackage {
name = "zope.event-4.0.3";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [setuptools];
src = fetchurl {
url = "https://pypi.python.org/packages/c1/29/91ba884d7d6d96691df592e9e9c2bfa57a47040ec1ff47eff18c85137152/zope.event-4.0.3.tar.gz";
md5 = "9a3780916332b18b8b85f522bcc3e249";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.zpt21 ];
};
project: added all source files and assets
r1 };
zope.interface = super.buildPythonPackage {
name = "zope.interface-4.1.3";
buildInputs = with self; [];
doCheck = false;
propagatedBuildInputs = with self; [setuptools];
src = fetchurl {
url = "https://pypi.python.org/packages/9d/81/2509ca3c6f59080123c1a8a97125eb48414022618cec0e64eb1313727bfe/zope.interface-4.1.3.tar.gz";
md5 = "9ae3d24c0c7415deb249dd1a132f0f79";
};
Martin Bornhold
oss-licenses: Regenerate python-packages.nix and licenses.json to have a recent version.
r208 meta = {
license = [ pkgs.lib.licenses.zpt21 ];
};
project: added all source files and assets
r1 };
### Test requirements
dependencies: organized test requirements....
r1222
project: added all source files and assets
r1 }